COMUNIDAD DE ELECTRONICOS
Hola eres nuevo,
registrate y disfruta el nuevo foro
de electronica en donde podras dar y recibir informacion de electronica,
y asi mismo hacer tus preguntas para recibir una respueta.
Recuerda que si eres tecnico,Ingeniero o estudiante hay un rango
especial para ti, es gratis solo registrate.
DISFRUTALO
COMUNIDAD DE ELECTRONICOS
Hola eres nuevo,
registrate y disfruta el nuevo foro
de electronica en donde podras dar y recibir informacion de electronica,
y asi mismo hacer tus preguntas para recibir una respueta.
Recuerda que si eres tecnico,Ingeniero o estudiante hay un rango
especial para ti, es gratis solo registrate.
DISFRUTALO
COMUNIDAD DE ELECTRONICOS
¿Quieres reaccionar a este mensaje? Regístrate en el foro con unos pocos clics o inicia sesión para continuar.

COMUNIDAD DE ELECTRONICOS

Foro Sobre Reparación de Electrónica de Consumo: TV, Audio, Video, Hardware...
 
ÍndiceBuscarÚltimas imágenesRegistrarseConectarse

 

 SUMADOR 16 BITS VHDL

Ir abajo 
AutorMensaje
carlospulido3
Principiante
Principiante



Masculino Mensajes : 1
Fecha de inscripción : 15/03/2017
Edad : 30

SUMADOR 16 BITS VHDL Empty
MensajeTema: SUMADOR 16 BITS VHDL   SUMADOR 16 BITS VHDL Icon_minitimeMiér Mar 15, 2017 11:41 am

Hola,

Estoy haciendo un sumador de vectores de 16 bits en VHDL. Mi problema es que en algunos casos, esa suma da un número de más de 16 bits y mi salida tiene que ser de 16 bits obligatoriamente. ¿Cómo soluciono esto?

Un saludo
Volver arriba Ir abajo
 
SUMADOR 16 BITS VHDL
Volver arriba 
Página 1 de 1.

Permisos de este foro:No puedes responder a temas en este foro.
COMUNIDAD DE ELECTRONICOS :: ELECTRONICA DIGITAL :: proyectos digitales-
Cambiar a: